IEEE STD 1364-2005, Annex B, List of Keywords.
Definition:
(defconst *vl-2005-keywords* '("always" "ifnone" "rnmos" "and" "incdir" "rpmos" "assign" "include" "rtran" "automatic" "initial" "rtranif0" "begin" "inout" "rtranif1" "buf" "input" "scalared" "bufif0" "instance" "showcancelled" "bufif1" "integer" "signed" "case" "join" "small" "casex" "large" "specify" "casez" "liblist" "specparam" "cell" "library" "strong0" "cmos" "localparam" "strong1" "config" "macromodule" "supply0" "deassign" "medium" "supply1" "default" "module" "table" "defparam" "nand" "task" "design" "negedge" "time" "disable" "nmos" "tran" "edge" "nor" "tranif0" "else" "noshowcancelled" "tranif1" "end" "not" "tri" "endcase" "notif0" "tri0" "endconfig" "notif1" "tri1" "endfunction" "or" "triand" "endgenerate" "output" "trior" "endmodule" "parameter" "trireg" "endprimitive" "pmos" "unsigned" "endspecify" "posedge" "use" "endtable" "primitive" "uwire" "endtask" "pull0" "vectored" "event" "pull1" "wait" "for" "pulldown" "wand" "force" "pullup" "weak0" "forever" "pulsestyle_onevent" "weak1" "fork" "pulsestyle_ondetect" "while" "function" "rcmos" "wire" "generate" "real" "wor" "genvar" "realtime" "xnor" "highz0" "reg" "xor" "highz1" "release" "if" "repeat"))