Fast alist for looking up plain token types.
Definition:
(defconst *vl-plaintoken-fal* (make-lookup-alist *vl-plaintoken-types*))
Value:
((:vl-ws . t) (:vl-comment . t) (:vl-arrow . t) (:vl-lbrack . t) (:vl-rbrack . t) (:vl-lparen . t) (:vl-rparen . t) (:vl-lcurly . t) (:vl-rcurly . t) (:vl-colon . t) (:vl-pluscolon . t) (:vl-minuscolon . t) (:vl-semi . t) (:vl-pound . t) (:vl-comma . t) (:vl-dot . t) (:vl-atsign . t) (:vl-beginattr . t) (:vl-endattr . t) (:vl-equalsign . t) (:vl-plus . t) (:vl-minus . t) (:vl-times . t) (:vl-div . t) (:vl-rem . t) (:vl-power . t) (:vl-xor . t) (:vl-qmark . t) (:vl-lt . t) (:vl-lte . t) (:vl-shl . t) (:vl-ashl . t) (:vl-gt . t) (:vl-gte . t) (:vl-shr . t) (:vl-ashr . t) (:vl-cne . t) (:vl-neq . t) (:vl-lognot . t) (:vl-nand . t) (:vl-nor . t) (:vl-xnor . t) (:vl-bitnot . t) (:vl-logor . t) (:vl-bitor . t) (:vl-logand . t) (:vl-bitand . t) (:vl-ceq . t) (:vl-eq . t) (:vl-andandand . t) (:vl-eqarrow . t) (:vl-arrowgt . t) (:vl-stararrow . t) (:vl-bararrow . t) (:vl-bareqarrow . t) (:vl-equiv . t) (:vl-wildeq . t) (:vl-wildneq . t) (:vl-dotstar . t) (:vl-coloneq . t) (:vl-colonslash . t) (:vl-scope . t) (:vl-pounddash . t) (:vl-poundequal . t) (:vl-poundpound . t) (:vl-plusplus . t) (:vl-minusminus . t) (:vl-pluseq . t) (:vl-minuseq . t) (:vl-timeseq . t) (:vl-diveq . t) (:vl-remeq . t) (:vl-andeq . t) (:vl-oreq . t) (:vl-xoreq . t) (:vl-shleq . t) (:vl-shreq . t) (:vl-ashleq . t) (:vl-ashreq . t) (:vl-quote . t) (:vl-$ . t) (:vl-$root . t) (:vl-$unit . t) (:vl-kwd-accept_on . t) (:vl-kwd-default . t) (:vl-kwd-forkjoin . t) (:vl-kwd-alias . t) (:vl-kwd-defparam . t) (:vl-kwd-function . t) (:vl-kwd-always . t) (:vl-kwd-design . t) (:vl-kwd-generate . t) (:vl-kwd-always_comb . t) (:vl-kwd-disable . t) (:vl-kwd-genvar . t) (:vl-kwd-always_ff . t) (:vl-kwd-dist . t) (:vl-kwd-global . t) (:vl-kwd-always_latch . t) (:vl-kwd-do . t) (:vl-kwd-highz0 . t) (:vl-kwd-and . t) (:vl-kwd-edge . t) (:vl-kwd-highz1 . t) (:vl-kwd-assert . t) (:vl-kwd-else . t) (:vl-kwd-if . t) (:vl-kwd-assign . t) (:vl-kwd-end . t) (:vl-kwd-iff . t) (:vl-kwd-assume . t) (:vl-kwd-endcase . t) (:vl-kwd-ifnone . t) (:vl-kwd-automatic . t) (:vl-kwd-endchecker . t) (:vl-kwd-ignore_bins . t) (:vl-kwd-before . t) (:vl-kwd-endclass . t) (:vl-kwd-illegal_bins . t) (:vl-kwd-begin . t) (:vl-kwd-endclocking . t) (:vl-kwd-implements . t) (:vl-kwd-bind . t) (:vl-kwd-endconfig . t) (:vl-kwd-implies . t) (:vl-kwd-bins . t) (:vl-kwd-endfunction . t) (:vl-kwd-import . t) (:vl-kwd-binsof . t) (:vl-kwd-endgenerate . t) (:vl-kwd-incdir . t) (:vl-kwd-bit . t) (:vl-kwd-endgroup . t) (:vl-kwd-include . t) (:vl-kwd-break . t) (:vl-kwd-endinterface . t) (:vl-kwd-initial . t) (:vl-kwd-buf . t) (:vl-kwd-endmodule . t) (:vl-kwd-inout . t) (:vl-kwd-bufif0 . t) (:vl-kwd-endpackage . t) (:vl-kwd-input . t) (:vl-kwd-bufif1 . t) (:vl-kwd-endprimitive . t) (:vl-kwd-inside . t) (:vl-kwd-byte . t) (:vl-kwd-endprogram . t) (:vl-kwd-instance . t) (:vl-kwd-case . t) (:vl-kwd-endproperty . t) (:vl-kwd-int . t) (:vl-kwd-casex . t) (:vl-kwd-endspecify . t) (:vl-kwd-integer . t) (:vl-kwd-casez . t) (:vl-kwd-endsequence . t) (:vl-kwd-interconnect . t) (:vl-kwd-cell . t) (:vl-kwd-endtable . t) (:vl-kwd-interface . t) (:vl-kwd-chandle . t) (:vl-kwd-endtask . t) (:vl-kwd-intersect . t) (:vl-kwd-checker . t) (:vl-kwd-enum . t) (:vl-kwd-join . t) (:vl-kwd-class . t) (:vl-kwd-event . t) (:vl-kwd-join_any . t) (:vl-kwd-clocking . t) (:vl-kwd-eventually . t) (:vl-kwd-join_none . t) (:vl-kwd-cmos . t) (:vl-kwd-expect . t) (:vl-kwd-large . t) (:vl-kwd-config . t) (:vl-kwd-export . t) (:vl-kwd-let . t) (:vl-kwd-const . t) (:vl-kwd-extends . t) (:vl-kwd-liblist . t) (:vl-kwd-constraint . t) (:vl-kwd-extern . t) (:vl-kwd-library . t) (:vl-kwd-context . t) (:vl-kwd-final . t) (:vl-kwd-local . t) (:vl-kwd-continue . t) (:vl-kwd-first_match . t) (:vl-kwd-localparam . t) (:vl-kwd-cover . t) (:vl-kwd-for . t) (:vl-kwd-logic . t) (:vl-kwd-covergroup . t) (:vl-kwd-force . t) (:vl-kwd-longint . t) (:vl-kwd-coverpoint . t) (:vl-kwd-foreach . t) (:vl-kwd-macromodule . t) (:vl-kwd-cross . t) (:vl-kwd-forever . t) (:vl-kwd-matches . t) (:vl-kwd-deassign . t) (:vl-kwd-fork . t) (:vl-kwd-medium . t) (:vl-kwd-modport . t) (:vl-kwd-reject_on . t) (:vl-kwd-time . t) (:vl-kwd-module . t) (:vl-kwd-release . t) (:vl-kwd-timeprecision . t) (:vl-kwd-nand . t) (:vl-kwd-repeat . t) (:vl-kwd-timeunit . t) (:vl-kwd-negedge . t) (:vl-kwd-restrict . t) (:vl-kwd-tran . t) (:vl-kwd-nettype . t) (:vl-kwd-return . t) (:vl-kwd-tranif0 . t) (:vl-kwd-new . t) (:vl-kwd-rnmos . t) (:vl-kwd-tranif1 . t) (:vl-kwd-nexttime . t) (:vl-kwd-rpmos . t) (:vl-kwd-tri . t) (:vl-kwd-nmos . t) (:vl-kwd-rtran . t) (:vl-kwd-tri0 . t) (:vl-kwd-nor . t) (:vl-kwd-rtranif0 . t) (:vl-kwd-tri1 . t) (:vl-kwd-noshowcancelled . t) (:vl-kwd-rtranif1 . t) (:vl-kwd-triand . t) (:vl-kwd-not . t) (:vl-kwd-s_always . t) (:vl-kwd-trior . t) (:vl-kwd-notif0 . t) (:vl-kwd-s_eventually . t) (:vl-kwd-trireg . t) (:vl-kwd-notif1 . t) (:vl-kwd-s_nexttime . t) (:vl-kwd-type . t) (:vl-kwd-null . t) (:vl-kwd-s_until . t) (:vl-kwd-typedef . t) (:vl-kwd-or . t) (:vl-kwd-s_until_with . t) (:vl-kwd-union . t) (:vl-kwd-output . t) (:vl-kwd-scalared . t) (:vl-kwd-unique . t) (:vl-kwd-package . t) (:vl-kwd-sequence . t) (:vl-kwd-unique0 . t) (:vl-kwd-packed . t) (:vl-kwd-shortint . t) (:vl-kwd-unsigned . t) (:vl-kwd-parameter . t) (:vl-kwd-shortreal . t) (:vl-kwd-until . t) (:vl-kwd-pmos . t) (:vl-kwd-showcancelled . t) (:vl-kwd-until_with . t) (:vl-kwd-posedge . t) (:vl-kwd-signed . t) (:vl-kwd-untyped . t) (:vl-kwd-primitive . t) (:vl-kwd-small . t) (:vl-kwd-use . t) (:vl-kwd-priority . t) (:vl-kwd-soft . t) (:vl-kwd-uwire . t) (:vl-kwd-program . t) (:vl-kwd-solve . t) (:vl-kwd-var . t) (:vl-kwd-property . t) (:vl-kwd-specify . t) (:vl-kwd-vectored . t) (:vl-kwd-protected . t) (:vl-kwd-specparam . t) (:vl-kwd-virtual . t) (:vl-kwd-pull0 . t) (:vl-kwd-static . t) (:vl-kwd-void . t) (:vl-kwd-pull1 . t) (:vl-kwd-string . t) (:vl-kwd-wait . t) (:vl-kwd-pulldown . t) (:vl-kwd-strong . t) (:vl-kwd-wait_order . t) (:vl-kwd-pullup . t) (:vl-kwd-strong0 . t) (:vl-kwd-wand . t) (:vl-kwd-pulsestyle_ondetect . t) (:vl-kwd-strong1 . t) (:vl-kwd-weak . t) (:vl-kwd-pulsestyle_onevent . t) (:vl-kwd-struct . t) (:vl-kwd-weak0 . t) (:vl-kwd-pure . t) (:vl-kwd-super . t) (:vl-kwd-weak1 . t) (:vl-kwd-rand . t) (:vl-kwd-supply0 . t) (:vl-kwd-while . t) (:vl-kwd-randc . t) (:vl-kwd-supply1 . t) (:vl-kwd-wildcard . t) (:vl-kwd-randcase . t) (:vl-kwd-sync_accept_on . t) (:vl-kwd-wire . t) (:vl-kwd-randsequence . t) (:vl-kwd-sync_reject_on . t) (:vl-kwd-with . t) (:vl-kwd-rcmos . t) (:vl-kwd-table . t) (:vl-kwd-within . t) (:vl-kwd-real . t) (:vl-kwd-tagged . t) (:vl-kwd-wor . t) (:vl-kwd-realtime . t) (:vl-kwd-task . t) (:vl-kwd-xnor . t) (:vl-kwd-ref . t) (:vl-kwd-this . t) (:vl-kwd-xor . t) (:vl-kwd-reg . t) (:vl-kwd-throughout . t))