Definition:
(defconst *vl-2012-keyword-table* (vl-make-keyword-table (append *vl-extra-keywords* *vl-2012-keywords*)))
Value:
(("accept_on" . :vl-kwd-accept_on) ("default" . :vl-kwd-default) ("forkjoin" . :vl-kwd-forkjoin) ("alias" . :vl-kwd-alias) ("defparam" . :vl-kwd-defparam) ("function" . :vl-kwd-function) ("always" . :vl-kwd-always) ("design" . :vl-kwd-design) ("generate" . :vl-kwd-generate) ("always_comb" . :vl-kwd-always_comb) ("disable" . :vl-kwd-disable) ("genvar" . :vl-kwd-genvar) ("always_ff" . :vl-kwd-always_ff) ("dist" . :vl-kwd-dist) ("global" . :vl-kwd-global) ("always_latch" . :vl-kwd-always_latch) ("do" . :vl-kwd-do) ("highz0" . :vl-kwd-highz0) ("and" . :vl-kwd-and) ("edge" . :vl-kwd-edge) ("highz1" . :vl-kwd-highz1) ("assert" . :vl-kwd-assert) ("else" . :vl-kwd-else) ("if" . :vl-kwd-if) ("assign" . :vl-kwd-assign) ("end" . :vl-kwd-end) ("iff" . :vl-kwd-iff) ("assume" . :vl-kwd-assume) ("endcase" . :vl-kwd-endcase) ("ifnone" . :vl-kwd-ifnone) ("automatic" . :vl-kwd-automatic) ("endchecker" . :vl-kwd-endchecker) ("ignore_bins" . :vl-kwd-ignore_bins) ("before" . :vl-kwd-before) ("endclass" . :vl-kwd-endclass) ("illegal_bins" . :vl-kwd-illegal_bins) ("begin" . :vl-kwd-begin) ("endclocking" . :vl-kwd-endclocking) ("implements" . :vl-kwd-implements) ("bind" . :vl-kwd-bind) ("endconfig" . :vl-kwd-endconfig) ("implies" . :vl-kwd-implies) ("bins" . :vl-kwd-bins) ("endfunction" . :vl-kwd-endfunction) ("import" . :vl-kwd-import) ("binsof" . :vl-kwd-binsof) ("endgenerate" . :vl-kwd-endgenerate) ("incdir" . :vl-kwd-incdir) ("bit" . :vl-kwd-bit) ("endgroup" . :vl-kwd-endgroup) ("include" . :vl-kwd-include) ("break" . :vl-kwd-break) ("endinterface" . :vl-kwd-endinterface) ("initial" . :vl-kwd-initial) ("buf" . :vl-kwd-buf) ("endmodule" . :vl-kwd-endmodule) ("inout" . :vl-kwd-inout) ("bufif0" . :vl-kwd-bufif0) ("endpackage" . :vl-kwd-endpackage) ("input" . :vl-kwd-input) ("bufif1" . :vl-kwd-bufif1) ("endprimitive" . :vl-kwd-endprimitive) ("inside" . :vl-kwd-inside) ("byte" . :vl-kwd-byte) ("endprogram" . :vl-kwd-endprogram) ("instance" . :vl-kwd-instance) ("case" . :vl-kwd-case) ("endproperty" . :vl-kwd-endproperty) ("int" . :vl-kwd-int) ("casex" . :vl-kwd-casex) ("endspecify" . :vl-kwd-endspecify) ("integer" . :vl-kwd-integer) ("casez" . :vl-kwd-casez) ("endsequence" . :vl-kwd-endsequence) ("interconnect" . :vl-kwd-interconnect) ("cell" . :vl-kwd-cell) ("endtable" . :vl-kwd-endtable) ("interface" . :vl-kwd-interface) ("chandle" . :vl-kwd-chandle) ("endtask" . :vl-kwd-endtask) ("intersect" . :vl-kwd-intersect) ("checker" . :vl-kwd-checker) ("enum" . :vl-kwd-enum) ("join" . :vl-kwd-join) ("class" . :vl-kwd-class) ("event" . :vl-kwd-event) ("join_any" . :vl-kwd-join_any) ("clocking" . :vl-kwd-clocking) ("eventually" . :vl-kwd-eventually) ("join_none" . :vl-kwd-join_none) ("cmos" . :vl-kwd-cmos) ("expect" . :vl-kwd-expect) ("large" . :vl-kwd-large) ("config" . :vl-kwd-config) ("export" . :vl-kwd-export) ("let" . :vl-kwd-let) ("const" . :vl-kwd-const) ("extends" . :vl-kwd-extends) ("liblist" . :vl-kwd-liblist) ("constraint" . :vl-kwd-constraint) ("extern" . :vl-kwd-extern) ("library" . :vl-kwd-library) ("context" . :vl-kwd-context) ("final" . :vl-kwd-final) ("local" . :vl-kwd-local) ("continue" . :vl-kwd-continue) ("first_match" . :vl-kwd-first_match) ("localparam" . :vl-kwd-localparam) ("cover" . :vl-kwd-cover) ("for" . :vl-kwd-for) ("logic" . :vl-kwd-logic) ("covergroup" . :vl-kwd-covergroup) ("force" . :vl-kwd-force) ("longint" . :vl-kwd-longint) ("coverpoint" . :vl-kwd-coverpoint) ("foreach" . :vl-kwd-foreach) ("macromodule" . :vl-kwd-macromodule) ("cross" . :vl-kwd-cross) ("forever" . :vl-kwd-forever) ("matches" . :vl-kwd-matches) ("deassign" . :vl-kwd-deassign) ("fork" . :vl-kwd-fork) ("medium" . :vl-kwd-medium) ("modport" . :vl-kwd-modport) ("reject_on" . :vl-kwd-reject_on) ("time" . :vl-kwd-time) ("module" . :vl-kwd-module) ("release" . :vl-kwd-release) ("timeprecision" . :vl-kwd-timeprecision) ("nand" . :vl-kwd-nand) ("repeat" . :vl-kwd-repeat) ("timeunit" . :vl-kwd-timeunit) ("negedge" . :vl-kwd-negedge) ("restrict" . :vl-kwd-restrict) ("tran" . :vl-kwd-tran) ("nettype" . :vl-kwd-nettype) ("return" . :vl-kwd-return) ("tranif0" . :vl-kwd-tranif0) ("new" . :vl-kwd-new) ("rnmos" . :vl-kwd-rnmos) ("tranif1" . :vl-kwd-tranif1) ("nexttime" . :vl-kwd-nexttime) ("rpmos" . :vl-kwd-rpmos) ("tri" . :vl-kwd-tri) ("nmos" . :vl-kwd-nmos) ("rtran" . :vl-kwd-rtran) ("tri0" . :vl-kwd-tri0) ("nor" . :vl-kwd-nor) ("rtranif0" . :vl-kwd-rtranif0) ("tri1" . :vl-kwd-tri1) ("noshowcancelled" . :vl-kwd-noshowcancelled) ("rtranif1" . :vl-kwd-rtranif1) ("triand" . :vl-kwd-triand) ("not" . :vl-kwd-not) ("s_always" . :vl-kwd-s_always) ("trior" . :vl-kwd-trior) ("notif0" . :vl-kwd-notif0) ("s_eventually" . :vl-kwd-s_eventually) ("trireg" . :vl-kwd-trireg) ("notif1" . :vl-kwd-notif1) ("s_nexttime" . :vl-kwd-s_nexttime) ("type" . :vl-kwd-type) ("null" . :vl-kwd-null) ("s_until" . :vl-kwd-s_until) ("typedef" . :vl-kwd-typedef) ("or" . :vl-kwd-or) ("s_until_with" . :vl-kwd-s_until_with) ("union" . :vl-kwd-union) ("output" . :vl-kwd-output) ("scalared" . :vl-kwd-scalared) ("unique" . :vl-kwd-unique) ("package" . :vl-kwd-package) ("sequence" . :vl-kwd-sequence) ("unique0" . :vl-kwd-unique0) ("packed" . :vl-kwd-packed) ("shortint" . :vl-kwd-shortint) ("unsigned" . :vl-kwd-unsigned) ("parameter" . :vl-kwd-parameter) ("shortreal" . :vl-kwd-shortreal) ("until" . :vl-kwd-until) ("pmos" . :vl-kwd-pmos) ("showcancelled" . :vl-kwd-showcancelled) ("until_with" . :vl-kwd-until_with) ("posedge" . :vl-kwd-posedge) ("signed" . :vl-kwd-signed) ("untyped" . :vl-kwd-untyped) ("primitive" . :vl-kwd-primitive) ("small" . :vl-kwd-small) ("use" . :vl-kwd-use) ("priority" . :vl-kwd-priority) ("soft" . :vl-kwd-soft) ("uwire" . :vl-kwd-uwire) ("program" . :vl-kwd-program) ("solve" . :vl-kwd-solve) ("var" . :vl-kwd-var) ("property" . :vl-kwd-property) ("specify" . :vl-kwd-specify) ("vectored" . :vl-kwd-vectored) ("protected" . :vl-kwd-protected) ("specparam" . :vl-kwd-specparam) ("virtual" . :vl-kwd-virtual) ("pull0" . :vl-kwd-pull0) ("static" . :vl-kwd-static) ("void" . :vl-kwd-void) ("pull1" . :vl-kwd-pull1) ("string" . :vl-kwd-string) ("wait" . :vl-kwd-wait) ("pulldown" . :vl-kwd-pulldown) ("strong" . :vl-kwd-strong) ("wait_order" . :vl-kwd-wait_order) ("pullup" . :vl-kwd-pullup) ("strong0" . :vl-kwd-strong0) ("wand" . :vl-kwd-wand) ("pulsestyle_ondetect" . :vl-kwd-pulsestyle_ondetect) ("strong1" . :vl-kwd-strong1) ("weak" . :vl-kwd-weak) ("pulsestyle_onevent" . :vl-kwd-pulsestyle_onevent) ("struct" . :vl-kwd-struct) ("weak0" . :vl-kwd-weak0) ("pure" . :vl-kwd-pure) ("super" . :vl-kwd-super) ("weak1" . :vl-kwd-weak1) ("rand" . :vl-kwd-rand) ("supply0" . :vl-kwd-supply0) ("while" . :vl-kwd-while) ("randc" . :vl-kwd-randc) ("supply1" . :vl-kwd-supply1) ("wildcard" . :vl-kwd-wildcard) ("randcase" . :vl-kwd-randcase) ("sync_accept_on" . :vl-kwd-sync_accept_on) ("wire" . :vl-kwd-wire) ("randsequence" . :vl-kwd-randsequence) ("sync_reject_on" . :vl-kwd-sync_reject_on) ("with" . :vl-kwd-with) ("rcmos" . :vl-kwd-rcmos) ("table" . :vl-kwd-table) ("within" . :vl-kwd-within) ("real" . :vl-kwd-real) ("tagged" . :vl-kwd-tagged) ("wor" . :vl-kwd-wor) ("realtime" . :vl-kwd-realtime) ("task" . :vl-kwd-task) ("xnor" . :vl-kwd-xnor) ("ref" . :vl-kwd-ref) ("this" . :vl-kwd-this) ("xor" . :vl-kwd-xor) ("reg" . :vl-kwd-reg) ("throughout" . :vl-kwd-throughout))