All valid plain tokens that can arise from any kind of supported source code (Verilog-2005, SystemVerilog-2012, and VL Extensions).
Definition:
(defconst *vl-plaintoken-types* (append *vl-2012-plain-nonkeywords* (alist-vals (vl-full-keyword-table))))
Value:
(:vl-ws :vl-comment :vl-arrow :vl-lbrack :vl-rbrack :vl-lparen :vl-rparen :vl-lcurly :vl-rcurly :vl-colon :vl-pluscolon :vl-minuscolon :vl-semi :vl-pound :vl-comma :vl-dot :vl-atsign :vl-beginattr :vl-endattr :vl-equalsign :vl-plus :vl-minus :vl-times :vl-div :vl-rem :vl-power :vl-xor :vl-qmark :vl-lt :vl-lte :vl-shl :vl-ashl :vl-gt :vl-gte :vl-shr :vl-ashr :vl-cne :vl-neq :vl-lognot :vl-nand :vl-nor :vl-xnor :vl-bitnot :vl-logor :vl-bitor :vl-logand :vl-bitand :vl-ceq :vl-eq :vl-andandand :vl-eqarrow :vl-arrowgt :vl-stararrow :vl-bararrow :vl-bareqarrow :vl-equiv :vl-wildeq :vl-wildneq :vl-dotstar :vl-coloneq :vl-colonslash :vl-scope :vl-pounddash :vl-poundequal :vl-poundpound :vl-plusplus :vl-minusminus :vl-pluseq :vl-minuseq :vl-timeseq :vl-diveq :vl-remeq :vl-andeq :vl-oreq :vl-xoreq :vl-shleq :vl-shreq :vl-ashleq :vl-ashreq :vl-quote :vl-$ :vl-$root :vl-$unit :vl-1step :vl-kwd-accept_on :vl-kwd-default :vl-kwd-forkjoin :vl-kwd-alias :vl-kwd-defparam :vl-kwd-function :vl-kwd-always :vl-kwd-design :vl-kwd-generate :vl-kwd-always_comb :vl-kwd-disable :vl-kwd-genvar :vl-kwd-always_ff :vl-kwd-dist :vl-kwd-global :vl-kwd-always_latch :vl-kwd-do :vl-kwd-highz0 :vl-kwd-and :vl-kwd-edge :vl-kwd-highz1 :vl-kwd-assert :vl-kwd-else :vl-kwd-if :vl-kwd-assign :vl-kwd-end :vl-kwd-iff :vl-kwd-assume :vl-kwd-endcase :vl-kwd-ifnone :vl-kwd-automatic :vl-kwd-endchecker :vl-kwd-ignore_bins :vl-kwd-before :vl-kwd-endclass :vl-kwd-illegal_bins :vl-kwd-begin :vl-kwd-endclocking :vl-kwd-implements :vl-kwd-bind :vl-kwd-endconfig :vl-kwd-implies :vl-kwd-bins :vl-kwd-endfunction :vl-kwd-import :vl-kwd-binsof :vl-kwd-endgenerate :vl-kwd-incdir :vl-kwd-bit :vl-kwd-endgroup :vl-kwd-include :vl-kwd-break :vl-kwd-endinterface :vl-kwd-initial :vl-kwd-buf :vl-kwd-endmodule :vl-kwd-inout :vl-kwd-bufif0 :vl-kwd-endpackage :vl-kwd-input :vl-kwd-bufif1 :vl-kwd-endprimitive :vl-kwd-inside :vl-kwd-byte :vl-kwd-endprogram :vl-kwd-instance :vl-kwd-case :vl-kwd-endproperty :vl-kwd-int :vl-kwd-casex :vl-kwd-endspecify :vl-kwd-integer :vl-kwd-casez :vl-kwd-endsequence :vl-kwd-interconnect :vl-kwd-cell :vl-kwd-endtable :vl-kwd-interface :vl-kwd-chandle :vl-kwd-endtask :vl-kwd-intersect :vl-kwd-checker :vl-kwd-enum :vl-kwd-join :vl-kwd-class :vl-kwd-event :vl-kwd-join_any :vl-kwd-clocking :vl-kwd-eventually :vl-kwd-join_none :vl-kwd-cmos :vl-kwd-expect :vl-kwd-large :vl-kwd-config :vl-kwd-export :vl-kwd-let :vl-kwd-const :vl-kwd-extends :vl-kwd-liblist :vl-kwd-constraint :vl-kwd-extern :vl-kwd-library :vl-kwd-context :vl-kwd-final :vl-kwd-local :vl-kwd-continue :vl-kwd-first_match :vl-kwd-localparam :vl-kwd-cover :vl-kwd-for :vl-kwd-logic :vl-kwd-covergroup :vl-kwd-force :vl-kwd-longint :vl-kwd-coverpoint :vl-kwd-foreach :vl-kwd-macromodule :vl-kwd-cross :vl-kwd-forever :vl-kwd-matches :vl-kwd-deassign :vl-kwd-fork :vl-kwd-medium :vl-kwd-modport :vl-kwd-reject_on :vl-kwd-time :vl-kwd-module :vl-kwd-release :vl-kwd-timeprecision :vl-kwd-nand :vl-kwd-repeat :vl-kwd-timeunit :vl-kwd-negedge :vl-kwd-restrict :vl-kwd-tran :vl-kwd-nettype :vl-kwd-return :vl-kwd-tranif0 :vl-kwd-new :vl-kwd-rnmos :vl-kwd-tranif1 :vl-kwd-nexttime :vl-kwd-rpmos :vl-kwd-tri :vl-kwd-nmos :vl-kwd-rtran :vl-kwd-tri0 :vl-kwd-nor :vl-kwd-rtranif0 :vl-kwd-tri1 :vl-kwd-noshowcancelled :vl-kwd-rtranif1 :vl-kwd-triand :vl-kwd-not :vl-kwd-s_always :vl-kwd-trior :vl-kwd-notif0 :vl-kwd-s_eventually :vl-kwd-trireg :vl-kwd-notif1 :vl-kwd-s_nexttime :vl-kwd-type :vl-kwd-null :vl-kwd-s_until :vl-kwd-typedef :vl-kwd-or :vl-kwd-s_until_with :vl-kwd-union :vl-kwd-output :vl-kwd-scalared :vl-kwd-unique :vl-kwd-package :vl-kwd-sequence :vl-kwd-unique0 :vl-kwd-packed :vl-kwd-shortint :vl-kwd-unsigned :vl-kwd-parameter :vl-kwd-shortreal :vl-kwd-until :vl-kwd-pmos :vl-kwd-showcancelled :vl-kwd-until_with :vl-kwd-posedge :vl-kwd-signed :vl-kwd-untyped :vl-kwd-primitive :vl-kwd-small :vl-kwd-use :vl-kwd-priority :vl-kwd-soft :vl-kwd-uwire :vl-kwd-program :vl-kwd-solve :vl-kwd-var :vl-kwd-property :vl-kwd-specify :vl-kwd-vectored :vl-kwd-protected :vl-kwd-specparam :vl-kwd-virtual :vl-kwd-pull0 :vl-kwd-static :vl-kwd-void :vl-kwd-pull1 :vl-kwd-string :vl-kwd-wait :vl-kwd-pulldown :vl-kwd-strong :vl-kwd-wait_order :vl-kwd-pullup :vl-kwd-strong0 :vl-kwd-wand :vl-kwd-pulsestyle_ondetect :vl-kwd-strong1 :vl-kwd-weak :vl-kwd-pulsestyle_onevent :vl-kwd-struct :vl-kwd-weak0 :vl-kwd-pure :vl-kwd-super :vl-kwd-weak1 :vl-kwd-rand :vl-kwd-supply0 :vl-kwd-while :vl-kwd-randc :vl-kwd-supply1 :vl-kwd-wildcard :vl-kwd-randcase :vl-kwd-sync_accept_on :vl-kwd-wire :vl-kwd-randsequence :vl-kwd-sync_reject_on :vl-kwd-with :vl-kwd-rcmos :vl-kwd-table :vl-kwd-within :vl-kwd-real :vl-kwd-tagged :vl-kwd-wor :vl-kwd-realtime :vl-kwd-task :vl-kwd-xnor :vl-kwd-ref :vl-kwd-this :vl-kwd-xor :vl-kwd-reg :vl-kwd-throughout)