Vl-propexpr
Representation of a single property or sequence expression.
This is a tagged union type, introduced by deftagsum.
Member Tags → Types
- :vl-propcore → vl-propcore
- Basic, single expression in a sequence or property (perhaps
with some probability distribution stuff.)
- :vl-propinst → vl-propinst
- Instance of a named sequence or property.
- :vl-propthen → vl-propthen
- Sequential sequence composition, i.e., foo ##1 bar and similar.
- :vl-proprepeat → vl-proprepeat
- A sequence with repetitions.
- :vl-propassign → vl-propassign
- A sequence with sequence match items (updates to its variables).
- :vl-propthroughout → vl-propthroughout
- A throughout sequence expression.
- :vl-propclock → vl-propclock
- A sequence or property expression with a clocking event.
- :vl-propunary → vl-propunary
- A basic unary operator applied to a sequence/property, for
instance, like first_match(a), not(b), etc.
- :vl-propbinary → vl-propbinary
- A basic binary operator that joins two sequences/properties, like
a and b, a |-> b, etc.
- :vl-propalways → vl-propalways
- An always or s_always property expression.
- :vl-propeventually → vl-propeventually
- An eventually or s_eventually property expression.
- :vl-propaccept → vl-propaccept
- A (possibly synchronous) accept_on or reject_on property
expression.
- :vl-propnexttime → vl-propnexttime
- A nexttime or s_nexttime property expression.
- :vl-propif → vl-propif
- An if-else property expression.
- :vl-propcase → vl-propcase
- A case property expression.
Note that SystemVerilog distinguishes between properties and
sequences. However, VL internally represents both property and
sequence expressions using this same data structure.
Subtopics
- Vl-propexpr-p
- Recognizer for vl-propexpr structures.
- Vl-propthen
- Sequential sequence composition, i.e., foo ##1 bar and similar.
- Vl-propexpr-case
- Case macro for the different kinds of vl-propexpr structures.
- Vl-propbinary
- A basic binary operator that joins two sequences/properties, like
a and b, a |-> b, etc.
- Vl-propeventually
- An eventually or s_eventually property expression.
- Vl-propassign
- A sequence with sequence match items (updates to its variables).
- Vl-propalways
- An always or s_always property expression.
- Vl-propif
- An if-else property expression.
- Vl-propaccept
- A (possibly synchronous) accept_on or reject_on property
expression.
- Vl-proprepeat
- A sequence with repetitions.
- Vl-propnexttime
- A nexttime or s_nexttime property expression.
- Vl-propclock
- A sequence or property expression with a clocking event.
- Vl-propexpr-equiv
- Basic equivalence relation for vl-propexpr structures.
- Vl-propunary
- A basic unary operator applied to a sequence/property, for
instance, like first_match(a), not(b), etc.
- Vl-propinst
- Instance of a named sequence or property.
- Vl-propexpr-kind
- Get the kind (tag) of a vl-propexpr structure.
- Vl-propthroughout
- A throughout sequence expression.
- Vl-propcase
- A case property expression.
- Vl-propcore
- Basic, single expression in a sequence or property (perhaps
with some probability distribution stuff.)
- *vl-trivially-true-property-expr*
- A vl-propexpr that is just true.
- Vl-propexpr-fix
- Fixing function for vl-propexpr structures.
- Vl-propexpr-count
- Measure for recurring over vl-propexpr structures.